2008年11月16日 星期日

不同相位之間的始終如何加約束?

隨便贊一下邏輯綜合板塊的版主chentao,看了他給別人的回答基本都是一種學習

不同相位之間的始終如何加約束?

top module上有兩個時鐘pin,一個clk,一個notclk,兩個是反相的,都是從外部同一pad上過來。
現在我準備分別在加pad(相當於這時候topmodule只有一個clock pin)和不加pad(相當於這時候topmodule有兩個clock pin)的情況下進行綜合,那我兩次綜合分別需要對時鐘如何進行約束?

-----------------------------------------------------

不加PAD時:
create_clock -name clk period 10 waveform {0,5} [get_ports clk]
create_clock -name notclk period 10 waveform {5,10} [get_ports notclk]


加PAD時:
create_clock -name clk period 10 waveform {0,5} [get_ports clk]
create_generated_clock -name notclk -invert [get_pins top/notclk] -source [get_ports clk]

From:http://www.edacn.net/html/65/47165-2005.html

標籤:

0 個意見:

張貼留言

訂閱 張貼留言 [Atom]

<< 首頁